lustrec-tests / vhdl_json / vhdl_files / 2-exportOK / ghdl / ghdl / testsuite / vests / vhdl-93 / ashenden / compliant / ch_05_ch_05_13.vhd @ 3fd18385
History | View | Annotate | Download (1.65 KB)
1 | 3fd18385 | Arnaud Dieumegard | |
---|---|---|---|
2 | -- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc |
||
3 | |||
4 | -- This file is part of VESTs (Vhdl tESTs). |
||
5 | |||
6 | -- VESTs is free software; you can redistribute it and/or modify it |
||
7 | -- under the terms of the GNU General Public License as published by the |
||
8 | -- Free Software Foundation; either version 2 of the License, or (at |
||
9 | -- your option) any later version. |
||
10 | |||
11 | -- VESTs is distributed in the hope that it will be useful, but WITHOUT |
||
12 | -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or |
||
13 | -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License |
||
14 | -- for more details. |
||
15 | |||
16 | -- You should have received a copy of the GNU General Public License |
||
17 | -- along with VESTs; if not, write to the Free Software Foundation, |
||
18 | -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
||
19 | |||
20 | -- --------------------------------------------------------------------- |
||
21 | -- |
||
22 | -- $Id: ch_05_ch_05_13.vhd,v 1.1.1.1 2001-08-22 18:20:47 paw Exp $ |
||
23 | -- $Revision: 1.1.1.1 $ |
||
24 | -- |
||
25 | -- --------------------------------------------------------------------- |
||
26 | |||
27 | entity ch_05_13 is |
||
28 | |||
29 | end entity ch_05_13; |
||
30 | |||
31 | |||
32 | ---------------------------------------------------------------- |
||
33 | |||
34 | |||
35 | library ieee; use ieee.std_logic_1164.all; |
||
36 | |||
37 | architecture test of ch_05_13 is |
||
38 | |||
39 | signal s : std_ulogic; |
||
40 | |||
41 | begin |
||
42 | |||
43 | |||
44 | process_05_3_o : process is |
||
45 | begin |
||
46 | s <= '1' after 11 ns, |
||
47 | 'X' after 12 ns, |
||
48 | '1' after 14 ns, |
||
49 | '0' after 15 ns, |
||
50 | '1' after 16 ns, |
||
51 | '1' after 17 ns, |
||
52 | '1' after 20 ns, |
||
53 | '0' after 25 ns; |
||
54 | wait for 10 ns; |
||
55 | |||
56 | -- code from book: |
||
57 | |||
58 | s <= reject 5 ns inertial '1' after 8 ns; |
||
59 | |||
60 | -- end of code from book |
||
61 | |||
62 | wait; |
||
63 | end process process_05_3_o; |
||
64 | |||
65 | |||
66 | end architecture test; |