lustrec-tests / vhdl_json / vhdl_files / 2-exportOK / ghdl / ghdl / testsuite / gna / ticket94 / tb1.vhd @ 3fd18385
History | View | Annotate | Download (602 Bytes)
1 | 3fd18385 | Arnaud Dieumegard | |
---|---|---|---|
2 | library ieee; |
||
3 | use ieee.std_logic_1164.all; |
||
4 | library alib; |
||
5 | use alib.acomp; |
||
6 | |||
7 | entity tb1 is |
||
8 | end; |
||
9 | |||
10 | architecture arch of tb1 is |
||
11 | |||
12 | signal a, b : std_logic := '0'; |
||
13 | component acomp is |
||
14 | port (x: in std_ulogic; y: out std_ulogic); |
||
15 | end component; |
||
16 | |||
17 | begin |
||
18 | ainst: acomp |
||
19 | port map (a, b); |
||
20 | |||
21 | process is |
||
22 | begin |
||
23 | a <= '0'; |
||
24 | wait for 1 ns; |
||
25 | assert b = '0' report "component is missing" severity failure; |
||
26 | a <= '1'; |
||
27 | wait for 1 ns; |
||
28 | assert b = '1' report "component is missing" severity failure; |
||
29 | wait; |
||
30 | end process; |
||
31 | |||
32 | end architecture; |