lustrec-tests/vhdl_json/vhdl_files/2-exportOK/ghdl/ghdl/testsuite/gna/issue50/vector.d/muxb_121.vhd @ ddabd63e
1 |
library ieee; |
---|---|
2 |
use ieee.std_logic_1164.all; |
3 |
|
4 |
library ieee; |
5 |
use ieee.numeric_std.all; |
6 |
|
7 |
entity muxb_121 is |
8 |
port ( |
9 |
in_sel : in std_logic; |
10 |
out_data : out std_logic; |
11 |
in_data0 : in std_logic; |
12 |
in_data1 : in std_logic |
13 |
);
|
14 |
end muxb_121; |
15 |
|
16 |
architecture augh of muxb_121 is |
17 |
begin
|
18 |
|
19 |
out_data <= in_data0 when in_sel = '0' else in_data1; |
20 |
|
21 |
end architecture; |
22 |
|