lustrec-tests/vhdl_json/vhdl_files/2-exportOK/ghdl/ghdl/testsuite/gna/issue50/vector.d/cmp_142.vhd @ ddabd63e
1 |
library ieee; |
---|---|
2 |
use ieee.std_logic_1164.all; |
3 |
|
4 |
entity cmp_142 is |
5 |
port ( |
6 |
eq : out std_logic; |
7 |
in0 : in std_logic_vector(2 downto 0); |
8 |
in1 : in std_logic_vector(2 downto 0) |
9 |
);
|
10 |
end cmp_142; |
11 |
|
12 |
architecture augh of cmp_142 is |
13 |
|
14 |
signal tmp : std_logic; |
15 |
|
16 |
begin
|
17 |
|
18 |
-- Compute the result
|
19 |
tmp <= |
20 |
'0' when in0 /= in1 else |
21 |
'1'; |
22 |
|
23 |
-- Set the outputs
|
24 |
eq <= tmp; |
25 |
|
26 |
end architecture; |