ERROR: Unable to parse source file : /home/adieumeg/Documents/Repositories/lustrec-tests/vhdl_json/vhdl_files/ghdl/ghdl/testsuite/vests/vhdl-93/ashenden/compliant/ch_20_fg_20_12.vhd ERROR: Parse error at line 44 column 8: 34: -- end code from book 35: 36: end package physical_attributes; 37: 38: 39: -- code from book 40: 41: library ieee; use ieee.std_logic_1164.all; 42: use work.physical_attributes.all; 43: 44: entity \74x138\ is ^ 45: generic ( Tpd : time ); 46: port ( en1, en2a_n, en2b_n : in std_logic; 47: s0, s1, s2 : in std_logic; 48: y0, y1, y2, y3, y4, y5, y6, y7 : out std_logic ); 49: 50: attribute layout_ignore of Tpd : constant is true; 51: 52: attribute pin_number of s0 : signal is 1; 53: attribute pin_number of s1 : signal is 2; 54: attribute pin_number of s2 : signal is 3; WARN: Missing blame information for the following files: WARN: * ch_20_fg_20_12.vhd WARN: This may lead to missing/broken features in SonarQube