ERROR: Unable to parse source file : /home/adieumeg/Documents/Repositories/lustrec-tests/vhdl_json/vhdl_files/ghdl/ghdl/testsuite/vests/vhdl-93/ashenden/compliant/ch_15_alu.vhd ERROR: Parse error at line 30 column 23: 20: -- --------------------------------------------------------------------- 21: -- 22: -- $Id: ch_15_alu.vhd,v 1.3 2001-11-03 23:19:37 paw Exp $ 23: -- $Revision: 1.3 $ 24: -- 25: -- --------------------------------------------------------------------- 26: 27: library ieee; 28: use ieee.std_logic_1164.all; 29: 30: use work.dlx_types.all, ^ 31: work.alu_types.all; 32: 33: entity alu is 34: generic ( Tpd : delay_length ); 35: port ( s1 : in dlx_word; 36: s2 : in dlx_word; 37: result : out dlx_word; 38: func : in alu_func; 39: zero, negative, overflow : out std_logic ); 40: end entity alu; WARN: Missing blame information for the following files: WARN: * ch_15_alu.vhd WARN: This may lead to missing/broken features in SonarQube