ERROR: Unable to parse source file : /home/adieumeg/Documents/Repositories/lustrec-tests/vhdl_json/vhdl_files/ghdl/ghdl/testsuite/vests/vhdl-93/ashenden/compliant/ch_08_fg_08_04.vhd ERROR: Parse error at line 32 column 25: 22: -- $Id: ch_08_fg_08_04.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $ 23: -- $Revision: 1.2 $ 24: -- 25: -- --------------------------------------------------------------------- 26: 27: -- not in book 28: 29: library ieee; use ieee.std_logic_1164.all; 30: 31: entity phase_locked_clock_gen is 32: port ( reference : in std_ulogic; ^ 33: phi1, phi2 : out std_ulogic ); 34: end entity phase_locked_clock_gen; 35: 36: 37: architecture std_cell of phase_locked_clock_gen is 38: 39: --use work.clock_pkg.Tpw; 40: use work.clock_pkg.all; 41: 42: begin WARN: Missing blame information for the following files: WARN: * ch_08_fg_08_04.vhd WARN: This may lead to missing/broken features in SonarQube