ERROR: Unable to parse source file : /home/adieumeg/Documents/Repositories/lustrec-tests/vhdl_json/vhdl_files/ghdl/ghdl/testsuite/vests/vhdl-93/ashenden/compliant/ch_03_tb_03_03.vhd ERROR: Parse error at line 35 column 20: 25: -- --------------------------------------------------------------------- 26: 27: entity test_bench_03_03 is 28: end entity test_bench_03_03; 29: 30: library ieee; 31: use ieee.std_logic_1164.all; 32: 33: architecture test_mux4_demo of test_bench_03_03 is 34: 35: signal sel : work.test_bench_03_02.sel_range := 0; ^ 36: signal d0, d1, d2, d3, z : std_ulogic; 37: 38: begin 39: 40: dut : entity work.mux4(demo) 41: port map ( sel => sel, 42: d0 => d0, d1 => d1, d2 => d2, d3 => d3, 43: z => z ); 44: 45: stimulus : process is WARN: Missing blame information for the following files: WARN: * ch_03_tb_03_03.vhd WARN: This may lead to missing/broken features in SonarQube