lustrec-tests/vhdl_json/vhdl_files/2-exportOK/ghdl/ghdl/testsuite/gna/sr2553/2553.vhd @ 3fd18385
1 |
library ieee; |
---|---|
2 |
|
3 |
use ieee.std_logic_1164.all; |
4 |
|
5 |
entity e1 is |
6 |
|
7 |
port( |
8 |
|
9 |
r1: in real; |
10 |
|
11 |
slv1: in std_logic_vector(7 downto 0); |
12 |
|
13 |
sl1: in std_logic |
14 |
|
15 |
);
|
16 |
|
17 |
end; |
18 |
|
19 |
architecture a of e1 is |
20 |
|
21 |
begin
|
22 |
|
23 |
end; |
24 |
|
25 |
library ieee; |
26 |
use ieee.std_logic_1164.all; |
27 |
|
28 |
entity e2 is |
29 |
begin
|
30 |
end; |
31 |
|
32 |
architecture a of e2 is |
33 |
constant r2: integer := 10e6; |
34 |
|
35 |
signal slv2: std_logic_vector(7 downto 0); |
36 |
signal sl2: std_logic; |
37 |
begin
|
38 |
tx: entity work.e1 |
39 |
port map( |
40 |
r1 => real(r2_wrong), |
41 |
slv1 => slv2, |
42 |
sl1 => sl2 |
43 |
);
|
44 |
end; |
- « Previous
- 1
- 2
- Next »