1
|
-------------------------------------------------------------------------------------------------
|
2
|
-- Company : CNES
|
3
|
-- Author : Mickael Carl (CNES)
|
4
|
-- Copyright : Copyright (c) CNES.
|
5
|
-- Licensing : GNU GPLv3
|
6
|
-------------------------------------------------------------------------------------------------
|
7
|
-- Version : V1
|
8
|
-- Version history :
|
9
|
-- V1 : 2015-04-01 : Mickael Carl (CNES): Creation
|
10
|
-------------------------------------------------------------------------------------------------
|
11
|
-- File name : STD_00300_good.vhd
|
12
|
-- File Creation date : 2015-04-01
|
13
|
-- Project name : VHDL Handbook CNES Edition
|
14
|
-------------------------------------------------------------------------------------------------
|
15
|
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
|
16
|
-------------------------------------------------------------------------------------------------
|
17
|
-- Description : Handbook example: Name of reset signal: good example
|
18
|
--
|
19
|
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
|
20
|
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
|
21
|
-- It is provided as is, without any warranty.
|
22
|
-- This example is compliant with the Handbook version 1.
|
23
|
--
|
24
|
-------------------------------------------------------------------------------------------------
|
25
|
-- Naming conventions:
|
26
|
--
|
27
|
-- i_Port: Input entity port
|
28
|
-- o_Port: Output entity port
|
29
|
-- b_Port: Bidirectional entity port
|
30
|
-- g_My_Generic: Generic entity port
|
31
|
--
|
32
|
-- c_My_Constant: Constant definition
|
33
|
-- t_My_Type: Custom type definition
|
34
|
--
|
35
|
-- My_Signal_n: Active low signal
|
36
|
-- v_My_Variable: Variable
|
37
|
-- sm_My_Signal: FSM signal
|
38
|
-- pkg_Param: Element Param coming from a package
|
39
|
--
|
40
|
-- My_Signal_re: Rising edge detection of My_Signal
|
41
|
-- My_Signal_fe: Falling edge detection of My_Signal
|
42
|
-- My_Signal_rX: X times registered My_Signal signal
|
43
|
--
|
44
|
-- P_Process_Name: Process
|
45
|
--
|
46
|
-------------------------------------------------------------------------------------------------
|
47
|
|
48
|
library IEEE;
|
49
|
use IEEE.std_logic_1164.all;
|
50
|
use IEEE.numeric_std.all;
|
51
|
|
52
|
entity STD_00300_good is
|
53
|
port (
|
54
|
i_Clock : in std_logic; -- Clock signal
|
55
|
--CODE
|
56
|
i_Reset_n : in std_logic; -- Reset signal
|
57
|
--CODE
|
58
|
i_D : in std_logic; -- D Flip-Flop input signal
|
59
|
o_Q : out std_logic -- D Flip-Flop output signal
|
60
|
);
|
61
|
end STD_00300_good;
|
62
|
|
63
|
architecture Behavioral of STD_00300_good is
|
64
|
signal Q : std_logic; -- D Flip-Flop output
|
65
|
begin
|
66
|
-- D FlipFlop process
|
67
|
P_FlipFlop : process(i_Clock, i_Reset_n)
|
68
|
begin
|
69
|
if (i_Reset_n = '0') then
|
70
|
Q <= '0';
|
71
|
elsif (rising_edge(i_Clock)) then
|
72
|
Q <= i_D;
|
73
|
end if;
|
74
|
end process;
|
75
|
|
76
|
o_Q <= Q;
|
77
|
end Behavioral;
|